banner
Hogar / Noticias / Una nueva máscara dura
Noticias

Una nueva máscara dura

Nov 22, 2023Nov 22, 2023

Scientific Reports volumen 12, número de artículo: 12180 (2022) Citar este artículo

2501 Accesos

3 citas

1 altmétrica

Detalles de métricas

Esta carta resuelve un obstáculo importante que estropea la fabricación basada en fotolitografía de estructuras de micromesoescala en silicio. La fotolitografía convencional generalmente se realiza en superficies de oblea lisas y planas para colocar un diseño 2D y posteriormente grabarlo para crear características de un solo nivel. Sin embargo, no es capaz de procesar superficies no planas o obleas ya grabadas y crear más de un nivel en la estructura. En este estudio, hemos descrito un novedoso flujo de proceso basado en salas blancas que permite la fácil creación de estructuras 3D jerárquicas de múltiples niveles en un sustrato. Esto se logra introduciendo una capa ultrafina de máscara dura de dióxido de silicio de sacrificio en el sustrato, a la que primero se le aplica un patrón 3D mediante múltiples rondas de litografía. Luego, este patrón 3D se escala verticalmente en un factor de 200 a 300 y se transfiere al sustrato que se encuentra debajo mediante un paso de grabado profundo de un solo disparo. El método propuesto también es fácilmente caracterizable: utilizando características de diferentes topografías y dimensiones, se cuantificaron las tasas de grabado y las selectividades; Esta información de caracterización se utilizó posteriormente para fabricar estructuras objetivo específicas. Además, este estudio compara exhaustivamente la novedosa técnica de transferencia de patrones con métodos ya existentes para crear estructuras de múltiples niveles, como la litografía en escala de grises y el apilamiento de chips. Se descubrió que el proceso propuesto era más barato, más rápido y más fácil de estandarizar en comparación con otros métodos, lo que hizo que el proceso general fuera más confiable y repetible. Esperamos que fomente más investigaciones sobre estructuras híbridas que son la clave para mejoras espectaculares del rendimiento en varios dispositivos de micromesoescala.

Los avances en las técnicas de micronanoprocesamiento basadas en litografía han revolucionado la tecnología en todo el mundo por su capacidad para producir en masa de forma rentable estructuras que van desde una escala de longitud inferior a 10 nm hasta una escala milimétrica. Algunas de estas estructuras incluyen componentes electrónicos a escala nanométrica como FET, IGBT1, características submicrónicas como guías de ondas ópticas2, lentes de Fresnel3, dispositivos fotónicos4 y dispositivos de micronanofluidos5. Las características de escala micro (1–100 μm) y meso (0,1–1 mm) ligeramente más grandes son aún más útiles en la tecnología moderna y han tenido innumerables aplicaciones en microfluidos6, tecnologías de enfriamiento7,8, investigación de baterías9, sorción-desorción10, desalinización11 y catálisis12. . Aunque ubicua, versátil e indispensable como técnica de micronanofabricación, la litografía convencional basada en salas blancas adolece de una limitación importante. Este tipo de procesamiento puede crear de manera eficiente solo estructuras 2.5D o de un solo nivel (Fig. 1a, b), pero no puede crear de manera confiable estructuras jerárquicas 3D híbridas de múltiples niveles (estructuras con más de un nivel de altura o profundidad como se muestra). en la Fig. 1c – e) de profundidades de más de 1 a 5 μm. A través de la ruta convencional LELE (Litho-Etch Litho-Etch), primero se coloca litográficamente un diseño/patrón 2D (control total disponible sobre el diseño de características en 2D) sobre una capa de máscara de sacrificio [generalmente, un polímero fotosensible llamado fotorresistente (PR)]. en la oblea. Esta máscara se utiliza ahora como protección para grabar la parte expuesta del diseño en la oblea. A través de una ronda de 'litografía + grabado', todo el diseño puede grabarse hasta una sola profundidad específica, dando lugar así a una estructura de un solo nivel. El proceso de sala limpia LELE convencional normalmente requeriría múltiples rondas de 'litografía + grabado' consecutivas para lograr las estructuras de múltiples niveles deseadas (Fig. 1f-i). El cuello de botella surge debido a una segunda ronda de litografía insatisfactoria (Fig. 1i) en obleas que ya han pasado por una ronda de 'litografía + grabado' y, por lo tanto, tienen características grabadas (altura ≥ 5 μm). Esto supone un importante obstáculo para la fabricación en una época en la que las estructuras híbridas son la clave para lograr mejoras espectaculares en el rendimiento de los dispositivos existentes. (Se pueden encontrar detalles adicionales sobre la utilidad de las estructuras híbridas en la sección "Impacto").

Dos tipos de estructuras, 2,5D y 3D. (a,b) Muestra estructuras de un solo nivel, donde todas las características tienen la misma profundidad/altura. Estas son también las estructuras a las que nos referiremos como estructuras 2.5D en el resto de esta carta. (c – e) En contraste con las estructuras 2.5D, mostramos dos estructuras diferentes completamente 3D que son de múltiples niveles; diferentes partes de la oblea tienen diferente profundidad/altura de grabado. (d) Es una versión fabricada de (c). (1) LELE convencional (f) Spin Coat PR, la uniformidad de la capa PR es crítica para el éxito de los procesos posteriores (g) Exposición y desarrollo completos para crear un patrón 2D en el PR (h) Grabado Deep Si para hacer primero una estructura de un solo nivel. (i) La segunda ronda de recubrimiento giratorio de PR falla si la altura de la estructura grabada es mayor que el espesor de la capa de PR (4 a 10 μm). (2) Apilamiento de fichas (j) Estructura objetivo de 3 niveles. (k,l) La estructura de varios niveles primero se divide en múltiples estructuras de un solo nivel que deben fabricarse en obleas separadas y luego unirse. (m) Limitaciones del apilamiento de fichas. (3) Litografía en escala de grises (n,o) Después del recubrimiento de PR, se realizan combinaciones de litografía de dosis completa (energía) y dosis parcial para crear una estructura 3D en el PR. (p) El grabado transfiere el patrón 3D del PR al Si que se encuentra debajo. (q) Limitaciones de la litografía en escala de grises asociadas principalmente con dosis parciales de gris.

Se pueden fabricar estructuras 3D de varios niveles con facilidad a partir de materiales blandos como PDMS (polidimetilsiloxano), termoplásticos utilizando técnicas de deformación (litografía blanda de dos pasos13, litografía de nanoimpresión (NIL) térmica secuencial14 y UV15, litografía de fuerza capilar16, impresión por nanotransferencia ( NTP)17), pero aún faltan métodos confiables para la fabricación de estructuras 3D de múltiples niveles en materiales rígidos como el silicio18. Recientemente, la litografía de dos fotones ha permitido la fabricación de complicados patrones totalmente tridimensionales en fotopolímeros19,20, pero estos sistemas tienen un volumen de impresión extremadamente pequeño (Nanoscribe GT, un sistema multifotónico de última generación utilizado en el mundo académico y la industria). puede imprimir un volumen máximo de 300 × 300 × 300 μm3) con tiempos de escritura igualmente largos de más de 12 h por estructura. Esta razón hace que la litografía de dos fotones sea prohibitivamente costosa de usar y difícil de integrar en escenarios comerciales de fabricación en masa20,21,22. Otra técnica llamada litografía en escala de grises23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44 ha ganado algo de terreno. tracción en los últimos años, aunque este método suele ser caro, tedioso y difícil de caracterizar22,45,46,47,48. En este enfoque, se utilizan varias dosis de gris que tienen una energía menor que la energía de dosis completa para borrar para iluminar el fotorresistente (PR). Las PR en estas zonas expuestas a dosis de gris sufren una reacción fotoquímica parcial y, cuando se revelan, solo una parte de la resistencia se elimina; controlar con precisión la energía y el plano focal de la luz expuesta da como resultado una estructura 3D de múltiples alturas en la resistencia y posteriormente transferido al sustrato debajo (Fig. 1n – q). Sin embargo, rápidamente se descubrió que las dosis de exposición a las canas estaban asociadas con varios problemas incontrolables22,45,46,47,48,49. Morgan y cols. atestiguó esta dificultad citando la falta de estandarización de los pasos del proceso de litografía en escala de grises. Según ellos, esto se debe al control muy limitado sobre varios parámetros que está inherentemente asociado con la exposición a la dosis de gris47. Algunos de estos desafíos encontrados en la litografía en escala de grises son: modelado de máscaras complicado y costoso22,48, distorsión de dimensiones dependiente de la dosis que empeora en tamaños de características inferiores a 10 μm49, desplazamiento dependiente del tamaño de las características de la curva de respuesta característica de los fotorresistentes49, pozos de perfil y ahusamiento de las paredes laterales en dosis grises, superficie de relaciones públicas desordenada posterior al desarrollo. Todos estos problemas hacen que el perfil de resistencia objetivo sea extremadamente difícil de lograr22. Estas cuestiones deben abordarse perfectamente mediante una caracterización experimental exhaustiva y una tediosa corrección de errores basada en modelos numéricos para lograr el éxito general del proceso22. Morgan y cols. Además, afirma que crear características grises controladas con precisión depende tanto de las condiciones del proceso y opera dentro de tolerancias de proceso tan estrictas que transferir conocimientos de recetas o procesos de un laboratorio a otro es casi imposible. Pequeños cambios en el equipo de proceso y el entorno provocan cambios drásticos en el proceso en escala de grises47.

Recientemente, varios investigadores desarrollaron una ingeniosa técnica de procesamiento de doble cara50,51,52,53 y, utilizando esta técnica, pudieron crear estructuras múltiples 3D de 2 niveles para puntos calientes de electrónica de alta potencia (huella de 25 a 100 mm2). enfriamiento. Posteriormente, Hazra et al. demostró con éxito la escalabilidad de este flujo de proceso para crear microenfriadores múltiples 3D con un área extremadamente grande (≥ 500 mm2) y alto flujo de calor54. Sin embargo, este método sólo es adecuado para la creación de estructuras de 2 niveles muy específicas que se pueden realizar mediante la intersección de dos diseños grabados en ambos lados de la oblea. Además, el rendimiento de las estructuras 3D realizadas mediante técnicas de microlitografía convencionales o de doble cara en obleas rígidas de Si cae drásticamente a aproximadamente el 50% debido al manejo manual de obleas frágiles que ya han pasado por una ronda de grabado profundo de Si54,55. Por lo tanto, comercialmente, la creación de estructuras multinivel más altas (≥ 10 μm) se ha realizado tradicionalmente mediante métodos de apilamiento de chips50,56. En este enfoque, un diseño completamente 3D se divide en varias estructuras 2,5D diferentes; Estas estructuras 2.5D se fabrican en obleas separadas utilizando 'litografía + grabado' convencional que luego se apilan utilizando tecnologías de unión por soldadura o de unión eutéctica delgada (Fig. 1j-m). Los espesores de las obleas utilizadas para cada una de estas capas separadas determinan las alturas de los escalones que se pueden lograr mediante este proceso y, a menudo, para lograr alturas de escalones pequeñas, las obleas deben adelgazarse utilizando una herramienta de rectificado posterior. El adelgazamiento de las obleas no es posible por debajo de 30 a 50 μm, lo que impone una limitación bastante grande a la altura mínima del paso o la resolución vertical de este proceso. Además, las obleas extremadamente delgadas son propensas a deformarse, astillarse y romperse. Las configuraciones finales de chips apilados unidos son de corta duración y poco confiables, siendo los sitios de unión la principal fuente de fallas. Estos problemas se presentan con mayor frecuencia en dispositivos que sufren tensiones térmicas o mecánicas cíclicas masivas23,24, especialmente en dispositivos de enfriamiento de microfluidos de alto flujo de calor. Además, las técnicas de apilamiento de chips tienen sus limitaciones en términos de las configuraciones de dispositivos que pueden fabricar, ya que las capas intermedias de oblea de la pila no pueden tener estructuras independientes (por ejemplo, no se pueden fabricar estructuras de conjuntos de aletas de pines de múltiples niveles usando esta técnica). Por lo tanto, la comunidad actual de microfabricación necesita desesperadamente un proceso estandarizado y fácil de caracterizar para crear estructuras multinivel de micromesoescala altas (≥ 100 μm) de alta relación de aspecto que sean simples, rentables y que puedan operar entre tolerancias de proceso razonables. y, por lo tanto, en última instancia, fácilmente traducibles de un laboratorio a otro.

En este artículo, hemos descrito un nuevo proceso de transferencia de patrones de dióxido de silicio a silicio que puede crear de manera confiable estructuras de múltiples niveles utilizando técnicas de fotolitografía y resolver simultáneamente varios de los desafíos prácticos que surgen al emplear métodos de última generación existentes, como el chip. apilamiento y litografía en escala de grises. El proceso de transferencia de patrones se logra mediante un paso de grabado profundo de silicio de un solo disparo, lo que se traduce en una mejora en el rendimiento de fabricación de más del 40 %. Además, la selectividad de grabado de Si:SiO2 es más de un orden de magnitud mayor en comparación con las selectividades de grabado de Si:PR25,26,27,28,29,30,49, lo que nos permite crear materiales realmente altos (hasta 500 μm) y de alta densidad. estructura de relación de aspecto (~ 10–15) que será inmensamente útil en aplicaciones que dependen de características de mesoescala. El proceso descrito emplea una exposición a dosis completa y, por lo tanto, evita todos los desafíos y dificultades asociados con la exposición a dosis parciales de gris. La eliminación del paso de exposición gris elimina simultáneamente los parámetros “difíciles de controlar” que están inherentemente asociados con los pasos de exposición parcial en la litografía gris47. Los únicos parámetros a caracterizar están asociados con el grabado de dióxido de silicio y silicio, lo que hace que este nuevo proceso sea fácil de generalizar y no requiera tolerancias de proceso extremadamente estrictas. Esta carta menciona un método de caracterización simple y detalla datos sobre SiO2 y grabado de Si específicos de las herramientas y condiciones de paso utilizadas. Junto con una caracterización y estandarización sencillas, el proceso también emplea herramientas y procesos basados ​​en salas blancas de uso muy común para crear una microestructura de múltiples niveles, lo que facilita mucho la transferencia de conocimientos de un laboratorio a otro. Finalmente, esta carta muestra una prueba de concepto de este método mediante la realización de dos rondas de 'litografía + grabado' y muestra imágenes SEM de varias microestructuras de 2 y 3 niveles realizadas. Sin embargo, las posibilidades en términos de tipos de estructura, topologías, configuraciones y escala de longitud son infinitas. Finalmente, esta carta termina enumerando algunas aplicaciones interesantes de estas novedosas estructuras híbridas cuya fabricación ahora es posible y que podrían allanar el camino para las próximas generaciones de microfluidos y tecnologías de enfriamiento de alto rendimiento.

El novedoso proceso descrito en este artículo se inspira en las técnicas LELE de multilitografía y la litografía en escala de grises. Combina inteligentemente los dos para la fabricación confiable de estructuras 3D de múltiples niveles y resuelve simultáneamente varios desafíos prácticos asociados con la técnica de litografía en escala de grises basada en relaciones públicas. En la litografía convencional (Fig. 1f-h), la creación de características grabadas generalmente sigue estos pasos: recubrir Photoresist (PR) en la oblea (Fig. 1f); exponer el diseño 2D en el PR con luz de longitud de onda y energía apropiadas que provoca una reacción fotoquímica en el PR y lo hace soluble en un solvente especial llamado revelador (los reveladores generalmente son soluciones altamente diluidas de bases extremadamente corrosivas como TMAH, hidróxido de tetrametilamonio). Después de la exposición, el revelador se usa para lavar las partes de PR que habían sido expuestas en el paso anterior, dejando así un patrón 2D de PR en la superficie de la oblea (Fig. 1g). Posteriormente se realiza un grabado de silicio Bosch basado en iones reactivos profundos para grabar las partes expuestas de la oblea hasta la profundidad deseada y nos queda una estructura de un solo nivel con todas las características que tienen la misma profundidad en la oblea de silicio (Fig. 1h ). Para lograr una estructura de múltiples profundidades utilizando esta técnica convencional, se utiliza un flujo de proceso llamado LELE (litho-etch-litho-etch). En este proceso, la secuencia de pasos 'litografía + grabado' debe repetirse varias veces con un diseño de exposición diferente y diferentes tiempos de grabado en cada paso. El desafío principal surge en el segundo paso de la litografía, donde se intenta hacer girar PR en la oblea con características ya grabadas en ella. El proceso de recubrimiento por rotación funciona mediante la dispensación de charcos de PR en el centro de una oblea de silicio que gira a altas RPM, lo que hace que se extienda radialmente hacia afuera para crear un recubrimiento delgado, uniforme y conforme sobre la oblea. El proceso de hilado en una oblea ya grabada es satisfactorio (delgada y uniforme) cuando el espesor del PR (4–10 μm) es mucho mayor en comparación con la altura de grabado de las características. Por lo tanto, en algunos casos de fabricación de circuitos integrados, donde la altura de la característica ya grabada es ≤ 1–4 μm, el proceso LELE funciona perfectamente. Sin embargo, en varias aplicaciones útiles de microfluidos, refrigeración líquida, óptica y fabricación de semiconductores, estas profundidades de grabado son de escala micro-meso y pueden oscilar entre 10 μm y 500–600 μm. El giro de PR en escalones de mayor altura (más de 5 a 10 μm) produce un recubrimiento insatisfactorio (Fig. 1i). Varios problemas como rayas (la capa de PR se arruga después de golpear una característica u obstáculo grabado), digitación (PR queda atrapada en una cavidad/canal profundo y avanza solo a lo largo de esos canales) y cobertura incompleta (PR golpea la esquina de una característica grabada y al no cubrir el resto de la oblea) estropean el proceso de recubrimiento por rotación en las segundas rondas de litografía. Esto provoca el fracaso del proceso de exposición posterior, cuyo éxito depende exclusivamente de la uniformidad de la capa de relaciones públicas, lo que conduce al fracaso del proceso general.

Identificamos dos problemas principales en los métodos convencionales que complican la fabricación confiable: problemas de recubrimiento de PR insatisfactorios en estructuras grabadas con una altura ≥ 5 μm en el procesamiento LELE; y problemas inevitables e incontrolables asociados con la exposición parcial a la dosis de gris en la litografía en escala de grises. En este contexto, hemos inventado un flujo de proceso novedoso utilizando herramientas de sala limpia de uso común que mitiga todos estos problemas y nos permite crear estructuras jerárquicas de múltiples niveles con facilidad. Inspirándonos en el principio de la litografía en escala de grises de modelar en 3D el material de la máscara de grabado, primero hemos introducido una capa de sacrificio ultrafina de SiO2 entre el PR y la oblea de silicio; la capa de SiO2 actúa ahora como material de enmascaramiento durante el proceso de grabado profundo de Si en lugar de PR. La idea es realizar múltiples rondas de litografía LELE convencional para modelar esta capa de máscara de SiO2 recientemente introducida, en lugar de intentar modelar directamente el silicio debajo. Después de esto, mediante un grabado profundo de Si, este patrón 3D de múltiples niveles en el SiO2 se escala verticalmente y se transfiere al silicio; el flujo general del proceso se muestra esquemáticamente en la Fig. 2. En el primer paso de este flujo del proceso, el delgado ( Primero se deposita una capa de SiO2 de 1 a 3 μm sobre la oblea mediante deposición química de vapor (CVD) a 250 a 350 °C o un proceso de oxidación térmica (≥ 850 °C) (Fig. 2b). Alternativamente, para hacer que el proceso sea más compatible con BEOL (final de línea), se puede utilizar el proceso CVD mejorado con plasma de alta densidad (HDPECVD), que puede depositar SiO2 de alta calidad pero a una temperatura mucho más baja de 90 a 120 °C por utilizando plasma direccional para mejorar el proceso de deposición. Después del crecimiento/deposición de la capa de SiO2, se realizan múltiples rondas de litografía en la capa de SiO2 con diferentes diseños y tiempos de grabado de SiO2 en cada una de las rondas (Fig. 2c-h). Dado que la capa de SiO2 es ultrafina, la altura máxima del paso de grabado (≤ 3 μm) en SiO2 es siempre menor que el espesor de la capa de PR (4–10 μm) que se extiende sobre ellos durante el proceso de hilado. Estas características de baja relación de aspecto en el SiO2 no interfieren con el proceso de hilado de PR, dando lugar a capas de PR perfectamente uniformes y conformes en la capa de SiO2 durante los múltiples pasos de litografía (Fig. 2f). Después de grabar el perfil 3D deseado en la capa de SiO2 mediante múltiples rondas de litografía, la oblea se coloca en un grabador de Si profundo que logra perfiles anisotrópicos en Si a través de un proceso de iones reactivos profundos (DRI) multiplexados en el tiempo, a menudo también denominado proceso de grabado de Bosch54. . Este paso de grabado es un proceso de una sola vez que escala el perfil 3D en el SiO2 verticalmente mediante la selectividad de grabado de Si: SiO2 y lo transfiere al silicio que se encuentra debajo (Fig. 2i). Dado que este paso de grabado es un proceso de un solo paso, también elimina la manipulación manual de obleas frágiles grabadas profundamente, como en el apilamiento de chips o el proceso LELE convencional, mejorando así el rendimiento del proceso del 50 al 90 %. Además, estas estructuras ahora son monolíticas o están hechas de un único sustrato de Si a granel, lo que elimina las varias capas de unión que serían necesarias en el enfoque de apilamiento de chips; esto aumenta la confiabilidad y robustez del dispositivo; Las fallas inducidas por ciclos de tensión térmica y mecánica en configuraciones de chips apilados se evitan de manera efectiva. Todos los efectos antes mencionados combinados darían como resultado un tiempo de procesamiento más rápido, más rendimiento, mayor rendimiento en escenarios de fabricación industrial en masa y, en última instancia, dispositivos más baratos. Los dos problemas principales mencionados al principio de esta sección también se mitigan a través de este flujo de proceso: el uso de SiO2 ultrafino elimina los problemas del revestimiento giratorio de PR sobre los pasos grabados en SiO2 y la realización de una litografía basada en exposición de dosis completa para modelar el SiO2. La capa elimina los problemas difíciles de caracterizar asociados con la litografía de dosis de grises. Además, el SiO2 como máscara dura de grabado proporciona una selectividad de grabado muy alta (200–300) con respecto al Si, que es más del doble que la selectividad máxima de Si:PR de 80–100. Esto nos permite crear fácilmente estructuras de mesoescala de más de 500 μm utilizando SiO2 extremadamente delgado (≤ 3 μm).

Flujo de proceso para la creación de estructuras multinivel utilizando un enfoque novedoso. (a) Oblea limpia y desnuda sin características; (b) Se deposita material de enmascaramiento ultrafino intermedio; en nuestro caso, el SiO2 se deposita por CVD; (c) El giro del fotorresistente (PR) es uniforme; este proceso no se ve obstaculizado porque es más grueso que la capa de SiO2 subyacente, la exposición del Diseño 1 y el desarrollo; (d) Usando PR como capa de máscara, el SiO2 subyacente se graba en una cantidad precisa, \({t}_{1}\); (e) Eliminación de relaciones públicas; (f) Se realiza la segunda ronda de litografía; en esta situación, el espesor de PR es al menos 1,5 veces el espesor máximo de la característica de SiO2 que ya se encuentra en la oblea, por lo que el proceso de recubrimiento por rotación es exitoso y produce una capa delgada y conforme en todo el SiO2 presentado en 3D. Esta vez el diseño 2 fue grabado en SiO2 a una profundidad diferente, \({t}_{2}\); (g) Después de dos rondas de litografía, se crea una estructura de 2 niveles en el SiO2; (h) Después de dos rondas más de litografía, se pueden hacer 2 niveles más. En teoría, \(n\) rondas de litografía son capaces de crear al menos \(n\) niveles en la estructura; (i) La oblea con una capa de SiO2 estructurada en 3D ahora se graba en un grabador de iones reactivos de Si (RIE) profundo para escalar verticalmente el patrón 3D de SiO2 mediante la selectividad de Si:SiO2 (que es de alrededor de 200 a 300 en nuestro caso) y transferir a la oblea de silicio que se encuentra debajo. Finalmente, nos quedamos con un nivel \(n\), estructura de relación de aspecto alta y estructura profunda en Si; (j) A diferencia de la estructura de varios niveles, esta es una estructura de un solo nivel que se muestra a modo de comparación.

También es importante tener en cuenta que todos los pasos utilizados para realizar este proceso fluyen como la litografía (giro de PR, exposición del diseño y desarrollo de características de menos de 10 μm), deposición o crecimiento de SiO2 en oblea de Si, grabado de iones reactivos (RIE) de SiO2 y El grabado DRI de silicio se emplea muy comúnmente en la comunidad de microfabricación de salas blancas. Esto permite una fácil transferencia del conocimiento del proceso de un laboratorio a otro, algo que es casi imposible para la tecnología en escala de grises47. Además, este flujo de proceso utiliza únicamente litografía de exposición completa, que ha sido ampliamente caracterizada y documentada para diferentes tipos y espesores de resistencia positiva y negativa. La eliminación de la exposición a la dosis gris elimina algunos de los problemas inevitables asociados con la tecnología de escala de grises, como el cambio de la curva de respuesta PR inducida por la dosis gris, el perfil de dosis gris, el desarrollo posterior de la superficie desordenada, la distorsión de la dimensión dependiente de la dosis gris22,45,46,47. 48,49 etc. En ausencia de estos problemas, también se evitan de manera efectiva los costosos y tediosos pasos experimentales y de corrección de errores de perfil numérico que de otro modo serían necesarios. La única caracterización requerida está relacionada con el grabado de SiO2 y Si, los cuales también han sido ampliamente caracterizados por numerosos investigadores anteriores. A pesar de que estos procesos son muy comunes y sus datos de caracterización están ampliamente disponibles en la comunidad de microfabricación, detallamos algunos datos de caracterización más adelante en la sección específica de las herramientas y recetas que hemos empleado para proporcionar un punto de partida para cualquiera que busque fabricar tales estructuras. La información sobre las herramientas y recetas específicas utilizadas en nuestro estudio se puede encontrar en la Tabla 1 de Información complementaria (SI). La Tabla 3 del SI también contiene una comparación tabular de este nuevo método con los procesos existentes de apilamiento de chips y litografía en escala de grises (enmascarada y sin máscara).

Las pruebas preliminares que utilizan este novedoso flujo de proceso (Fig. 2) han demostrado la capacidad de crear características jerárquicas 3D de dimensiones nominales (ancho) ~ 5–10 μm con relaciones de aspecto (relación alto-ancho) de hasta 10–15. La resolución se puede mejorar aún más a una escala inferior a 500 nm utilizando litografía de haz de electrones en lugar de fotolitografía convencional. El flujo del proceso de creación de estructuras de varios niveles se ha probado cinco veces con diferentes magnitudes de alturas de paso (de 250 nm a 1,5 μm) para establecer confiabilidad y repetibilidad.

La resolución y repetibilidad del proceso depende de nuestra capacidad para caracterizar con precisión la tasa de grabado del silicio, la tasa de grabado del SiO2 y la selectividad del grabado Si:SiO2. Se construyeron dos máscaras de caracterización de manera que, cuando se alineen litográficamente, contendrán pequeños parches de diseño de microcanales rectos superpuestos y conjuntos de pilares cuadrados. Se grabaron tres obleas de caracterización diferentes en cantidades variables con estas dos máscaras de litografía en tres días diferentes. Estas dos máscaras se grabaron durante duraciones variables utilizando un plasma de 600 a 800 W de CHF3 y CH4 en una proporción de 3:1 en una herramienta de grabado de iones reactivos llamada Oxford RIE, para generar pasos con alturas de paso que varían entre 250 nm y 1,5 μm en el SiO2. capa. La receta de grabado de SiO2 se eligió de manera que la selectividad SiO2:PR fuera ≥ 1. Esto asegura que la capa de PR (4–10 μm) sea siempre lo suficientemente gruesa para grabar completamente el SiO2 más delgado (3 μm) que se encuentra debajo, eliminando así una capa más. parámetro (SiO2:selectividad de grabado PR) de necesitar una caracterización precisa. En este escenario, sólo la información de la tasa de grabado de SiO2 resulta importante. Los hallazgos del grabado de SiO2 se resumen en la Fig. 3. Los datos sin procesar a partir de los cuales se construyó la Fig. 3 se pueden encontrar en la Tabla 2 del SI.

Para establecer la repetibilidad y estandarización de nuestro método, es imperativa la caracterización precisa de la tasa de grabado con óxido. La oblea de caracterización que consta de canales rectos y conjuntos de pilares cuadrados se grabó durante duraciones variables (1 a 100 s) y el grabado por segundo en Å/s se representó en función del tipo de característica y las dimensiones. Los datos sin procesar utilizados para trazar estas curvas se pueden encontrar en la Información complementaria. Se encontró que el grabado por segundo era estable alrededor de 5 nm/s. Sin embargo, la observación importante es el hecho de que no se observa grabado dependiente de la relación de aspecto (ARDE) en SiO2 (se encontró que la variación máxima en el grabado por segundo en diferentes geometrías era ~ 1 nm/s), lo que muestra un valor constante de grabado por segundo para diferentes dimensiones de características y condiciones de carga. Se observó que el grabado por segundo estaba más influenciado por el tiempo total de grabado, especialmente cuando el tiempo total de grabado es bajo (≤ 5 s); este es el resultado de una distribución de plasma impredecible y no uniforme en la cámara de grabado cuando el tiempo de grabado es de solo 1 s. . En tiempos de grabado más altos (30 s, 60 s, 100 s), el grabado por segundo mostró menos variación y estuvo más cerca entre sí (45–50 Å/s), lo que indica un buen control, repetibilidad y confiabilidad del proceso.

Tras una caracterización detallada de la tasa de grabado del óxido utilizando nuestra receta específica, podemos construir con precisión estructuras 3D en óxido de silicio. Las estructuras objetivo de nuestros dispositivos de enfriamiento de flujo de calor extremo son extremadamente altas (~ 500 μm) y necesitan una capa de SiO2 de 3 a 4 μm como máscara. Como se mencionó anteriormente, hemos elegido una receta de grabado con óxido agresivo con una buena selectividad SiO2:PR de ≥ 1. Esto es necesario para poder grabar las capas gruesas de SiO2 (hasta 4–6 μm) utilizando una capa de PR relativamente más delgada. (4 μm, manteniendo así una resolución inferior a 10 μm). Sin embargo, elegir una receta de grabado de SiO2 agresiva (con un alto valor de grabado por segundo) conduce a un empeoramiento de la resolución vertical de nuestras estructuras objetivo. Como se ve en la Fig. 3, que representa el grabado por segundo (Å/s) en función del tiempo total de grabado y la dimensión de la característica, la velocidad de grabado promedio estuvo bien controlada entre 45 y 54 Å/s para una amplia gama de objetivos. estructuras y para todas las duraciones de grabado superiores a 1 s. En 1 s, el grabado carece gravemente de plasma y la velocidad de grabado es mucho menor, ~ 30 Å/s. Además, en 1 s, el plasma no tiene tiempo suficiente para distribuirse uniformemente en la cámara, lo que también conduce al grabado dependiente de la relación de aspecto (ARDE), donde las dimensiones de las características influyen más fuertemente en la velocidad de grabado (esto se puede ver en gris (1 s). grabado) gráfico de líneas en la Fig. 3). Por lo tanto, la resolución vertical de las estructuras 3D que utilizan nuestra receta de grabado está determinada por un mínimo de 2 segundos de grabado y está limitada a alrededor de 10 nm en la capa de SiO2. Esto se traduce en alrededor de 2 a 3 μm cuando el paso se escala y se transfiere a la oblea de silicio a través de DRIE. La receta de grabado se puede ajustar (se pueden reducir los caudales de los gases respectivos, se pueden disminuir las proporciones de CHF3 y CH4) para hacerla menos agresiva y, por lo tanto, reducir el valor de grabado por segundo; esto conducirá a un mejor control del grabado y mejor resolución (menos de 10 nm) en la estructura 3D de SiO2, aunque a costa de reducir la selectividad de SiO2:PR. Después de la caracterización del paso de grabado con óxido, se utilizó una receta de grabado profundo de silicio en Plasma-Therm Deep Silicon Etcher (PTDSE) para la transferencia de patrones. Esta receta también se caracterizó utilizando una oblea de prueba con canales rectos de anchos de 100 a 200 μm. Se encontró que la selectividad de grabado promedio de Si:SiO2 en una profundidad total de grabado de 200 μm era de alrededor de 270-290. Esta receta de grabado fue desarrollada ampliamente en un trabajo previo de Hazra et al. quienes informaron una selectividad de grabado de 220–240 y una velocidad de grabado de 8 μm/min54. La receta DSE utilizada por Hazra et al. También fue extremadamente agresivo para adaptarse a su altura de grabado total extrema de 1000 μm, aunque esta receta agresiva conduce a una selectividad reducida de Si:SiO2. En nuestro presente estudio, la receta se modificó ligeramente (el paso de grabado de silicio, el tiempo de 'grabado' se redujo a 3,1 s desde 3,354) para aumentar la selectividad y lograr un perfil de grabado más recto y anisotrópico. Se investigó la progresión del grabado para nuestras estructuras de caracterización (conjuntos de canales rectos colocados perpendicularmente de diferentes anchos y espaciados entre 100 y 400 μm). Se encontró que 172 μm de silicio estaban grabados para 0,61 μm de óxido, lo que hace que la selectividad promedio de grabado de Si:SiO2 sea ~ 282. Algunas de las estructuras finales de múltiples niveles obtenidas a través de este flujo de proceso se muestran en la Fig. 4.

Demostración de estructuras 3D utilizando nuestro método de fabricación. (a) Estructuras de aletas de pasador de varios niveles (vista isométrica); estos tipos de estructuras no se pueden crear mediante apilamiento de chips convencional o técnicas de grabado de doble cara; (b) (vista lateral) conjunto de aletas de pasadores de varios niveles; (c) aletas y orificios para pasadores; (d) microcanales rectos desplazados de la superficie de la oblea (la capacidad de hacer que la microestructura esté ligeramente desplazada de la superficie tiene un inmenso potencial para facilitar la unión, integración y empaquetamiento de diferentes componentes, especialmente para aplicaciones de enfriamiento de flujo de calor extremo); (e) estructuras de aletas dentadas de diferentes relaciones de aspecto y espaciado; (f) imagen ampliada de una muestra de orificio de alfiler y aleta; (g) (vista lateral) Estructura de aleta dentada que muestra 4 niveles distintos; (h) Vista isométrica de una aleta dentada de 2 niveles; (i) Diseños de máscaras superpuestas utilizadas para fabricar aletas dentadas de 3 niveles; (j) Canales de 3 niveles con orificios hechos mediante la superposición de 2 diseños de máscara. Este tipo de estructuras más pequeñas de tipo pin-aleta o pin-hole distribuidas en una mesoestructura subyacente más grande es una forma fácil y viable de mejorar el rendimiento térmico de la zona activa de transferencia de calor en los refrigeradores; (k) Aletas de pasador que sobresalen de la base del canal más altas que la altura de la pared lateral del canal (algunas aletas se rompieron durante el corte en cubitos de la oblea); (l) Diseño de “Silla” de 3 niveles realizado mediante la superposición de diseños de máscaras con patrones cuadrados en los lados de los canales; (m) El concepto inicial de matriz de aletas de pines modelada en canales de dos niveles sugiere nuestra capacidad para crear también estructuras mejoradas de superficie bien ordenadas.

Finalmente, vale la pena mencionar que el concepto de fabricación propuesto, que implica modelar multilitográficamente una capa de enmascaramiento delgada y de baja relación de aspecto y luego transferir ese patrón a un sustrato subyacente mediante grabado de un solo disparo, se puede extender a otros materiales y sustratos de máscara. combinaciones también. En lugar de dióxido de silicio CVD, también se pueden utilizar como capa de máscara finas capas metálicas (Au, Pt, Cr, W, Al) u otro material de óxido (alúmina) o nitruro (SiNx). Se ha observado una selectividad de grabado superior de 105 durante DRIE de silicio con una capa de máscara de Al31; por lo tanto, combinar esto con nuestro método permitirá la creación de estructuras multinivel con relación de aspecto extrema (≥ 35). Estos nuevos materiales de enmascaramiento también se pueden depositar o cultivar en nuestra oblea mediante otras técnicas como evaporación, pulverización catódica, deposición de capas atómicas (ALD) o galvanoplastia, lo que hace que el concepto sea aplicable en una amplia gama de escenarios de fabricación. Para diferentes conjuntos de material de máscara y sustrato, el proceso de caracterización permanece relativamente sin cambios, requiriéndose una sola ejecución usando una máscara de caracterización para cuantificar las tasas de grabado y las selectividades específicas de las herramientas y condiciones del proceso utilizadas; estos parámetros luego se usarán para diseñar el flujo del proceso para obtener nuestras estructuras multinivel objetivo finales.

En la Fig. 4 se presentan diferentes tipos de elementos de múltiples niveles creados con este método, con diferentes anchos, alturas y topografías. Todas las estructuras en la Fig. 4 se crean mediante dos rondas de litografía superponiendo dos máscaras de litografía. En teoría, se pueden realizar varias rondas de litografía en la oblea para crear estructuras de niveles \(n\).

Por lo general, el número de pasos de 'litografía + grabado de SiO2' es igual al número de niveles requeridos en la estructura de múltiples niveles (observe la Fig. 2a-i), aunque pronto se dio cuenta de que se podían hacer fácilmente más simplificaciones para reducir el número de pasos de procesamiento necesarios para estas estructuras. Por ejemplo, la altura del escalón final en la capa de SiO2 podría reemplazarse por completo con un fotopolímero horneado, reduciendo así una ronda de 'grabado litográfico + SiO2'. Aunque esto requeriría diseñar el flujo de fabricación teniendo en cuenta las diferentes tasas de grabado y selectividades de PR, SiO2 y Si durante el proceso. Además de estas simplificaciones, los diseños de máscaras se pueden combinar y superponer inteligentemente entre diferentes rondas de 'litografía + grabado de SiO2', lo que da lugar a más niveles utilizando un menor número de rondas de 'litografía + grabado'. En la Fig. 5 se muestra un ejemplo, donde dos rondas de 'litografía + grabado' que involucran 2 máscaras podrían generar una estructura de 3 niveles. Se ven más estructuras de este tipo en la Fig. 4g, i, j, l, m, todas las cuales están hechas superponiendo 2 máscaras (los diseños exactos de las máscaras se dejan como ejercicios para el lector).

Diseños de máscaras superpuestas para crear estructuras complicadas. (a) Se expone el primer diseño de máscara (como se muestra en (d)) y se graba SiO2 en una cantidad \({t}_{1}\); (b) El segundo diseño se superpone parcialmente en el paso realizado en el paso (a) y (c) Se realiza el grabado de SiO2 para obtener una característica 3D de 3 niveles en el SiO2. En (e—iv) se muestra una contraparte 2D de esta estructura de 3 niveles. (d) Se muestran las dos máscaras para dos rondas de 'grabado litográfico + SiO2'; la imagen de la derecha muestra cómo se superponen las máscaras. (e) Se ha verificado que pasos de hasta 1 μm en SiO2 no afectan el proceso de exposición. En caso de que la altura del escalón sea ≥ 1,5 μm, podrían surgir diferencias en la calidad de la exposición entre los dos niveles de SiO2, 1 y 2. En esta situación, los escalones inferiores podrían estar subexpuestos, quedando PR quedando atrás: un paso de eliminación de espuma posterior más largo ( ≥ 2 min) soluciona el problema eliminando todas estas relaciones públicas residuales. (f) Después de DRIE, la estructura se escala verticalmente y se transfiere al Si subyacente, y se muestran las nuevas matrices de combinación de 3 niveles pin-fin-hole; (g) vista ampliada de la estructura 3D; (h) vista lateral en sección transversal. Los números representan los diferentes niveles.

La Figura 5 muestra una estructura de aleta de pasador de varias alturas con una característica de pilar y bolsillo grabadas juntas. También analiza brevemente un problema que podría surgir durante la fase de exposición de la litografía al crear estructuras extremadamente altas. La precisión dimensional y la calidad de exposición del alineador MLA Heidelberg Maskless (herramienta de exposición) depende de dos parámetros principales: la energía luminosa de exposición (dosis) y la ubicación del enfoque (desenfoque) con respecto a la superficie superior del PR, aunque la energía de exposición es el determinante primario. Se ha observado anteriormente que un cambio de ± 1 μm en el valor de desenfoque desde el plano focal óptimo no afecta significativamente el paso de exposición; esto sugiere que si las características 3D y las alturas de los pasos en el SiO2 son lo suficientemente bajas (submicrónicas), La calidad de exposición en los dos pasos es relativamente buena. Se probaron diseños superpuestos para alturas de escalón de 0,5 a 1 μm y se encontró que las exposiciones eran satisfactorias en ambos niveles (Fig. 5a-c). Cuando se intenta la fotolitografía en alturas de escalón de SiO2 que están separadas por más de 1,5 μm, las cualidades de exposición en los dos niveles diferentes de SiO2 pueden ser ligeramente diferentes (como se muestra en el esquema de la Fig. 5d-e, uno de los niveles podría ser sobre o subexpuesta) y esto debe tenerse en cuenta durante el paso de exposición. Una solución fácil y rápida es elegir el plano focal de exposición de la luz de modo que la litografía de exposición completa se produzca en el nivel superior o en la parte superior del escalón. Esto significaría simultáneamente que el nivel inferior (la parte inferior del escalón) está subexpuesto y que la PR residual podría quedar atrás. Esto se puede solucionar aumentando la duración del paso de eliminación de espuma aguas abajo a duraciones más largas (≥ unos minutos). El tiempo de eliminación de espuma del plasma de O2 de potencia extrabaja (50 W) eliminaría todas las PR residuales de la superficie inferior del paso de SiO2 y resolvería este problema. Sin embargo, estos casos podrían beneficiarse de una capa inicial de PR ligeramente más gruesa (≥ 5 μm) para acomodar el PR adicional que se graba durante el paso de descremado; esto garantizará que todavía quede suficiente PR para grabar completamente la capa de SiO2 subyacente incluso después de la aplicación. paso de desescoria más largo.

El novedoso enfoque delineado en esta carta nos permite crear con precisión estructuras híbridas de múltiples niveles a través de un flujo de proceso fácil de caracterizar y estandarizable. Algunos de estos tipos de estructuras se demuestran en las Figs. 4 y 5, pero las posibilidades son infinitas. Dado que la mayoría de estas estructuras son altas (≥ 100 μm, a menudo tan altas como 500 μm) con relaciones de aspecto altas (5–10) a extremas (15–25), anticipamos que este tipo de estructuras serán las más adecuadas para micro a meso. Microfluidos a escala y aplicaciones de refrigeración líquida. La capacidad de crear estructuras 3D, multifuncionales y jerárquicas es especialmente importante para el entorno de investigación académica e industrial en este momento, ya que innumerables aplicaciones de escala micro-meso pueden beneficiarse del rendimiento simplemente reemplazando las estructuras convencionales de dispositivos de un solo nivel por estructuras multifuncionales y multifuncionales. -Características híbridas de nivel. Algunas de esas aplicaciones se enumeran en la siguiente sección.

Los dispositivos de microfluidos de tipo flujo tienen regiones activas con una amplia gama de funcionalidades, siendo algunos ejemplos la mezcla, la separación de partículas, la clasificación, la separación y el análisis5,32,33,34,35,36. Además de la región activa, los dispositivos también constan de canales de flujo, entradas y salidas que generalmente tienen diferentes tamaños y están en diferentes niveles en el dispositivo: los canales de flujo son más anchos, las entradas y salidas están grabadas para permitir conexiones de flujo dentro y fuera de el dispositivo. Uno de los enfoques más comunes para la fabricación de grandes volúmenes de dichos dispositivos es la litografía por nanoimpresión (NIL) térmica o UV14,15. Esto utiliza un maestro o molde rígido (a menudo hecho de Si) que se usa para crear el espejo inverso a partir de varios polímeros blandos como SU-8, PDMS, poliuretanos (PU), policarbonatos (PC), PMMA, etc. Actualmente, no hay ningún método. existe para la creación de moldes rígidos de múltiples niveles18; nuestro método será inmensamente útil en este contexto. Además, este proceso permitirá una fácil fabricación de microestructura de área activa y canales de flujo con control independiente de los anchos y alturas de las características, lo que allanará el camino para la multifísica en el mismo dispositivo o chip57,58. Ahora se pueden crear fácilmente rutas de flujo complicadas y estructuras internas cubiertas como las demostradas por Duong et al.59 mediante impresión 3D uniendo dos chips de silicio o sus correspondientes polímeros fundidos NIL. Los microfluidos digitales o basados ​​en gotas también podrían beneficiarse enormemente de estas estructuras multinivel57. Se podrían utilizar pasadores y orificios de varios niveles cuidadosamente elaborados (como los que se muestran en la Fig. 4c, f) combinados con canales de varios niveles para crear, atrapar y transportar gotas de manera efectiva. Recientemente, las estructuras híbridas también han atraído mucha atención por parte de la comunidad optofluídica: Parks et al. demostró la integración de una capa de manipulación de fluidos basada en PDMS con un sensor óptico de silicio para la detección de partículas individuales, pero también mostró su funcionalidad para otros fines como etiquetado de ADN, detección de moléculas individuales, mezcla, distribución y filtrado de partículas60. Otro beneficio de la presentación multinivel es que la capacidad de crear estructuras de superficie también presentará varias posibilidades en el diseño de diseños de superficies bioinspiradas con funcionalidades específicas13,39, por ejemplo, hoja de loto autolimpiante y superhidrófoba, antiincrustante y piel de tiburón que reduce la resistencia al arrastre. texturas de conchas de moluscos, ojos de polilla antirreflectantes, estructuras fotónicas de alas de mariposa y microprotuberancias de “recolección de agua” como la piel del escarabajo Namib. Ser capaz de combinar varias funcionalidades diferentes en el mismo chip nos impulsará a crear dispositivos de laboratorio en un chip (LOC)18 más versátiles que tendrán un impacto masivo en la biomicrofluídica5,58,60,61,62, lo que permitirá pruebas de reactivos de muestra de pequeño volumen basadas en gotas, ensayos biológicos y químicos, diagnósticos en el lugar de atención, manipulación de células y ADN5,61,62 y pruebas, separación35, clasificación34 y análisis36. Estos tipos de materiales multinivel también tendrán un uso variado en situaciones que requieren mejoras en la superficie y la absorción, algunas de las cuales son absorción de agua, desalinización, captura de carbono, tecnología de baterías, mejora de la adsorción, catálisis, tensión superficial o transporte impulsado por fuerza capilar6,7. 8,9,10,11,12 etc.

Además, las estructuras híbridas de varios niveles probablemente tengan el impacto más significativo en la mejora del rendimiento del dispositivo en el campo de las soluciones de refrigeración líquida integradas. La hibridación del microcanal del lado Cold Plate (mediante la introducción de una micromecha o características de superficie en la parte inferior de un microcanal recto) conduce a un mayor rendimiento térmico en escenarios de enfriamiento de microcanales de alimentación forzada8. Zhu et al. informaron mejoras en el coeficiente de transferencia de calor del 17% a más del 117% para microcanales microestructurados en comparación con microcanales lisos, para micropilares de 25 y 75 µm de altura, respectivamente, utilizando metanol como fluido de trabajo sin un aumento significativo en la caída de presión56. Los disipadores de calor pasivos, como los Heat Pipes y las cámaras de vapor, con mechas híbridas biporosas en lugar de una monoporosa convencional mostraron una mejora significativa en sus capacidades de difusión del calor40. Dai et al. demostró que una mecha híbrida compleja, cuando se utiliza en un tubo de calor, conduce a un aumento masivo de 30 veces en la carga de calor máxima propagable en comparación con el cobre sólido41. Zhou y cols. validó el rendimiento superior de las mechas híbridas de dos niveles en cámaras de vapor al informar una disminución del 28 % y 17 % respectivamente en la resistencia térmica del dispositivo en comparación con una mecha monoporosa y biporosa comercial TGP (Thermal Ground Plane)42 . Además, nuestra capacidad para crear de manera confiable estructuras jerárquicas de múltiples niveles nos permitirá ampliar agresivamente el dispositivo de enfriamiento activo basado en convección forzada utilizando una segunda capa múltiple 3D para una entrega eficiente de fluido. La ampliación de los refrigeradores de alto rendimiento es un objetivo inmensamente importante que se persigue en el campo de la refrigeración integrada; Esto nos permitirá empaquetar componentes electrónicos de potencia densos en energía y continuar la tendencia de mejorar la velocidad de la electrónica y la densidad de energía8,43,52,54. Pan et al. Realizó simulaciones numéricas en ANSYS Fluent para comparar el diseño de enfriadores múltiples (MMC) con enfriadores 2D tradicionales (TMC) y demostró que, con los mismos caudales, los MMC pueden alcanzar niveles similares de rendimiento térmico que los TMC, pero logran una enorme velocidad de 4 a 6. × reducción en la presión total del dispositivo y, por lo tanto, mejora de 4 a 6 veces en el coeficiente de rendimiento (COP)43. Además de los refrigeradores activos, estas mechas híbridas de altura múltiple también permitirán ampliar las tecnologías de dispersión de calor. Esto es posible porque las características de profundidad múltiple, cuando se combinan inteligentemente en la mecha del evaporador, pueden resolver eficazmente las limitaciones de transporte de masa inherentemente impuestas por las delgadas mechas del evaporador en los esparcidores de calor con cambio de fase de líquido a vapor8. En los diseños de cámaras de vapor ultradelgadas, los pilares cortos podrían colocarse sobre los puntos calientes para sostener una película líquida muy delgada, lo que generaría una menor resistencia térmica y un rendimiento térmico superior, mientras que los pilares altos actuarían como rutas de reabastecimiento de líquido suministrando suficiente flujo másico absorbente. desde el condensador hasta el evaporador a través de grandes áreas del dispositivo. Además de los usos mencionados anteriormente para estructuras de niveles múltiples, las superficies con microcaracterísticas 3D de transferencia activa de calor se pueden mejorar en superficie (la Fig. 4m muestra mejoras en la superficie en la parte superior de canales estructurados de 2 niveles para crear una estructura general de 3 niveles). ) utilizando este novedoso método. Estas mejoras de superficie estarán bien ordenadas y sus dimensiones se controlarán exactamente, por lo que pueden reemplazar los métodos convencionales de creación de elementos de rugosidad superficial estocásticos (alambres44, tubos38, agujas63, brócoli64, pólipos65) que son más difíciles de controlar y repetir. Estas mejoras en la superficie conducen a mejoras masivas en las métricas del dispositivo al mejorar el transporte basado en mecha capilar desde el condensador de regreso al evaporador. Esto se ha demostrado mediante la creación de mechas híbridas utilizando rugosidad inducida por láser UV64,65, síntesis hidrotermal de nanocables de ZnO en microestructura de silicio56 y luego realizando pruebas de velocidad de aumento capilar para demostrar que su velocidad de absorción es mucho más rápida que sus contrapartes lisas no híbridas sin superficie. mejoras. Las mejoras de la superficie combinadas con estructuras de múltiples niveles aumentan adicionalmente el área de superficie general disponible para la transferencia de calor en escenarios de convección alimentada forzada y evaporación de película delgada66, y conducen a mayores sitios de nucleación de burbujas en regímenes de ebullición en piscina y flujo7,8,44.

Algunas de las aplicaciones mencionadas anteriormente ayudan a motivar la superioridad de las estructuras híbridas. Se lograrán varios órdenes de mejora en muchas aplicaciones cuando las mechas monoporosas o de un solo nivel convencionales se reemplacen por mechas híbridas de múltiples niveles. Esperamos que esta receta estandarizada para fabricar tales estructuras de múltiples niveles fomente más investigaciones y, eventualmente, la adopción de tales estructuras en dispositivos comerciales y escenarios de la vida real.

En esta carta, hemos detallado un novedoso proceso de transferencia de patrones de óxido de silicio (SiO2) a Si que utiliza litografía múltiple para modelar primero una capa delgada de SiO2 de baja relación de aspecto que luego se transfiere al sustrato de Si que se encuentra debajo mediante un grabado profundo de una sola vez. técnica. La selectividad de grabado extremadamente alta entre Si:SiO2 de 200 a 300 nos permite crear estructuras de múltiples niveles con alturas extremas de ≥ 500 μm y una relación de aspecto extrema (≥ 10–15) en silicio con un óxido relativamente delgado (≤ 3). capa encima. Con la receta de grabado de óxido actual seleccionada, obtenemos una resolución estable de 90 a 100 Å en SiO2 durante 2 s de grabado, lo que produce una resolución de grabado de Si vertical de 2 a 3 μm en Si. Con más ajustes en la receta de grabado, se puede reducir el grabado de óxido por segundo y refinar aún más la resolución. Este proceso proporciona varias ventajas sobre los enfoques convencionales de apilamiento de chips y litografía en escala de grises, que se han resumido en detalle en la Tabla de información complementaria 3. El novedoso proceso se ha utilizado para crear innumerables estructuras de niveles múltiples como se muestra en la Fig. 4, además, Fig. 5 muestra cómo se pueden superponer múltiples máscaras de litografía para crear más niveles usando un menor número de pasos de litografía. Estas estructuras de múltiples niveles en la micro y mesoescala tienen aplicaciones de gran alcance en los campos de la microfluídica, las tecnologías de enfriamiento, la biología, la filtración y la energía, como se menciona brevemente en las secciones de Introducción e Impacto. Además, nuestro novedoso proceso resuelve varios desafíos prácticos de caracterización y estandarización que perjudican el uso de la litografía en escala de grises y el proceso LELE convencional, para crear estructuras jerárquicas de múltiples niveles y, por lo tanto, posiblemente sea más adecuado para situaciones de alto rendimiento y fabricación en masa comercial. La fabricación difícil y no estándar con tolerancias de proceso estrictas es la razón principal por la que las estructuras 3D de varios niveles no se observan regularmente en los dispositivos comerciales. Tener una receta estándar que pueda traducirse fácilmente de un laboratorio a otro abrirá una gama de posibilidades en la investigación y el desarrollo de este tipo de estructuras híbridas para mejorar las funcionalidades y aumentar su rendimiento en muchos aspectos.

Todos los datos generados o analizados durante este estudio se incluyen en este artículo publicado y sus archivos de información complementaria.

Chen, Y. Nanofabricación mediante litografía por haz de electrones y sus aplicaciones: una revisión. Microelectrón. Ing. 135, 57–72 (2015).

Artículo CAS Google Scholar

Bojko, RJ, Li, J., Baehr-Jones, T. y Hochberg, M. Estrategias de escritura de litografía por haz de electrones para guías de ondas ópticas de silicio de alto confinamiento y baja pérdida. J.vac. Ciencia. Tecnología. B 29, 06F309 (2011).

Artículo CAS Google Scholar

Fricke-Begemann, T. & Ihlemann, J. Elementos microópticos híbridos mediante fabricación con láser de lentes de Fresnel en la cara final de lentes de índice degradado. Optar. Expreso 26(18), 23751–23759 (2018).

Artículo ADS CAS PubMed Google Scholar

Fedeli, JM et al. Desarrollo de dispositivos fotónicos de silicio mediante herramientas microelectrónicas para su integración sobre una oblea CMOS. Adv. Optar. Tecnología. 2008, 412518 (2008).

Artículo de Google Scholar

Wang, C., Nam, SW y Cotte, J. Integración a escala de oblea de chips nanofluídicos de sacrificio para detectar y manipular moléculas de ADN individuales. Nat. Comunitario. 8, 14243 (2017).

Artículo ADS CAS PubMed PubMed Central Google Scholar

Gale, BK y cols. Una revisión de los métodos actuales en la fabricación de dispositivos de microfluidos y perspectivas futuras de comercialización. Invenciones. 3, 60 (2018).

Artículo de Google Scholar

Liang, G. y Mudawar, I. Revisión de la mejora de la ebullición de las heces mediante modificación de la superficie. En t. J. Transferencia de masa de calor. 128, 892–933 (2019).

Artículo de Google Scholar

Liang, G. y Mudawar, I. Revisión de la mejora de la ebullición del flujo del canal mediante modificación de la superficie y esquemas de supresión de la inestabilidad. En t. J. Transferencia de masa de calor. 146, 118864 (2020).

Artículo de Google Scholar

Lixiang, L., Weng, Q., Xueyi, S., Zhang, L., Schmidt, OG Avances en baterías de iones de litio microtamaño en chip (2017).

Chen, Y. et al. Microfabricación escalable de andamios de grafeno interconectados porosos tridimensionales con esferas de carbono para microsupercondensadores de alto rendimiento totalmente basados ​​en carbono. J. Materiomics 5, 303–312 (2019).

Artículo de Google Scholar

Zhang, Y., Xiong, T., Nandakumar, DK & Tan, SC Arquitectura de estructuras para desalinización de la interfaz solar con rechazo de sal para lograr una evaporación de alto rendimiento con generación de energía in situ. Adv. Ciencia. Noticias 7, 1903478 (2020).

Artículo CAS Google Scholar

Navin, CV, Krishna, KS, Theegala, CS y Kumar, CSSR Dispositivos de laboratorio en un chip para la síntesis de nanopartículas de oro y su función como soporte catalizador para la catálisis de flujo continuo. Nanotecnología. Rev. 3(1), 39–63 (2013).

Artículo CAS Google Scholar

Greiner, C., Arzt, E. & Campo, A. Adhesivos jerárquicos tipo gecko. Adv. Madre. 21(4), 479–482 (2009).

Artículo CAS Google Scholar

Hirai, Y., Yoshida, S. y Takagi, N. Análisis de defectos en litografía por nanoimpresión térmica. J.vac. Ciencia. Tecnología. B 21(6), 2765–2770 (2003).

Artículo CAS Google Scholar

Kwak, R., Jeong, HE y Suh, KY Fabricación de estructuras de puentes monolíticos mediante litografía de fuerza capilar asistida por vacío. Pequeño 5(7), 790–794 (2009).

Artículo CAS PubMed Google Scholar

Suh, KY y Lee, HH Litografía de fuerza capilar: patrones de áreas grandes, autoorganización y deshumectación anisotrópica. Adv. Función. Madre. 12(6–7), 405–413 (2002).

3.0.CO;2-1" data-track-action="article reference" href="https://doi.org/10.1002%2F1616-3028%2820020618%2912%3A6%2F7%3C405%3A%3AAID-ADFM405%3E3.0.CO%3B2-1" aria-label="Article reference 16" data-doi="10.1002/1616-3028(20020618)12:6/73.0.CO;2-1">Artículo CAS Google Scholar

Carlson, A., Bowen, AM, Huang, Y., Nuzzo, RG y Rogers, JA Técnicas de impresión por transferencia para ensamblaje de materiales y fabricación de micro/nanodispositivos. Adv. Madre. 24(39), 5284–5318 (2012).

Artículo CAS PubMed Google Scholar

Kim, JU, Lee, S. y Kim, T. Avances recientes en litografía no convencional para desafiar estructuras jerárquicas 3D y sus aplicaciones. J. Nanomater. 2016, 7602395 (2016).

Google Académico

Meza, LR et al. Metamateriales resilientes con arquitectura jerárquica en 3D. Proc. Nacional. Acad. Ciencia. PNAS. 112(37), 11502–11507 (2015).

Artículo ADS CAS PubMed Google Scholar

Piazza, A., Wu, Q. Estructuras metálicas 3D, porosas y galvanizadas que utilizan plantillas de litografía de dos fotones de sacrificio (nanoscribe). Informe de investigación, ENGR241, SNF, Universidad de Stanford (2020). https://snfexfab.stanford.edu/sites/g/files/sbiybj8726/f/sections/diplayfiles/wq_finalreport_qw_ap.pdf.

Houbertz, R., Declerck, P., Passinger, S., Ovsianikov, A. y Serbin. Investigaciones sobre la generación de cristales fotónicos mediante polimerización de dos fotones (2PP) de polímeros híbridos inorgánicos-orgánicos con pulsos láser ultracortos. J. Física. Estado Solidi A 204, 3662–3675 (2007).

Artículo ADS CAS Google Scholar

Grushina, A. Litografía en escala de grises de escritura directa. Adv. Optar. Tecnología. 8(3–4), 163–169 (2019).

Artículo ADS CAS Google Scholar

Chuang, RW, Kim, D., Park, J. & Lee, CC Un proceso sin fundente para producir uniones de oro y estaño ricas en estaño en el aire. Traducción IEEE. Componente. Paquete. Tecnología. 27(1), 177–181 (2004).

Artículo CAS Google Scholar

Hazra, S., Singh, Y., Asheghi, M., Goodson, KE Caracterización y prevención del desbordamiento de metal en uniones de chips eutécticos ultrafinos de Au-Sn para embalaje e integración de microenfriadores de flujo de calor extremo. En actas de la conferencia y exposición técnica internacional ASME 2020 sobre empaquetado e integración de microsistemas electrónicos y fotónicos, InterPACK 2020–2533 (2020).

Stilson. C., Pal, A., Coutu, RA Fabricación de estructuras de superficie 3D mediante litografía en escala de grises. En Actas de SPIE 8973: Tecnología de procesos de micromecanizado y microfabricación XIX, No. 8973 (2014).

Deng, Q. y col. Fabricación de elementos microópticos con perfiles de superficie arbitrarios basados ​​en litografía en escala de grises sin máscara de un solo paso. Micromáquinas. 8, 314 (2017).

Artículo PubMed Central Google Scholar

Dillon, T., Sure, A., Murakowski, J. & Prather, D. Fabricación de máscaras en escala de grises de tonos continuos utilizando vidrio sensible a haces de alta energía. J. Microlithogr. Microtela. Microsistema. 3(4), 550 (2004).

Google Académico

Eckstein, H. y col. Litografía en escala de grises de alta dinámica con un paso a paso de microimagen basado en LED. Proc. SPIE 9780, 97800T-T97801 (2016).

Artículo de Google Scholar

Huang, Y. y Jeng, J. Formación de una lente de zona de Fresnel: efectos de la fotoprotección en la litografía sin máscara con dispositivo de microespejo digital con exposición en escala de grises. J. Optar. Soc. Corea 16(2), 127–132 (2012).

Artículo CAS Google Scholar

Ma, X. et al. Estudio experimental de optimización numérica para microestructuración 3-D mediante litografía en escala de grises basada en DMD. J. Microelectromecánica. Sistema. 24(6), 1856–1867 (2015).

Artículo de Google Scholar

Bagolini, A., Scauso, P., Sanguinetti, S. & Bellutti, P. Grabado profundo de iones reactivos de silicio con máscara dura de aluminio. Madre. Res. Expresar. 6, 085913 (2019).

Artículo ADS CAS Google Scholar

Zhou, B. y col. Diseño y fabricación de matrices de micropilares flexibles funcionalizadas magnéticamente para una mezcla de microfluidos rápida y controlable. Chip de laboratorio 15, 2125–2132 (2015).

Artículo CAS PubMed Google Scholar

Feng, J. y col. Identificación de nucleótidos individuales en nanoporos de MoS2. Nat. Nanotecnología. 10, 1070–1076 (2015).

Artículo ADS CAS PubMed Google Scholar

Wunsch, BH et al. Matrices de desplazamiento lateral a nanoescala para la separación de exosomas y coloides hasta 20 nm. Nat. Nanotecnología. 11, 936–940 (2016).

Artículo ADS CAS PubMed Google Scholar

Huang, LR, Cox, EC, Austin, RH y Sturm, JC Separación continua de partículas mediante desplazamiento lateral determinista. Ciencia 304(5673), 987–990 (2004).

Artículo ADS CAS PubMed Google Scholar

Lam, et al. Mapeo del genoma en matrices de nanocanales para análisis de variación estructural y ensamblaje de secuencias. Nat. Biotecnología. 30(8), 771–776 (2012).

Artículo CAS PubMed Google Scholar

Dou, X., Zhang, D., Feng, C. y Jiang, L. Estructuras de superficie jerárquicas bioinspiradas con humectabilidad ajustable para regular la adhesión de bacterias. ACS Nano 9(11), 10664–10672 (2015).

Artículo CAS PubMed Google Scholar

Chen, C. y col. Condensación gota a gota sobre superficies superhidrófobas con rugosidad de dos niveles. Aplica. Física. Letón. 90, 173108 (2007).

Artículo ADS CAS Google Scholar

Sun, J. & Bhushan, B. Nanofabricación de superficies bioinspiradas. Tríbol. En t. 129, 67–74 (2019).

Artículo CAS Google Scholar

Weibel, JA & Garimella, SV Avances recientes en la caracterización del transporte en cámaras de vapor para aplicaciones de alto flujo de calor. (2013).

Dai, X., Tran, L., Yang, F., Shi, B., Yang, R., Lee, YC y Li, C. Caracterización de tubos de calor de cobre de mecha híbrida. En actas de la octava conferencia conjunta de ingeniería térmica de ASME/JSME 2011. ASME/JSME 2011 8ª Conferencia Conjunta de Ingeniería Térmica. Honolulu, Hawái, Estados Unidos. 13 al 17 de marzo de 2011. T30005. COMO YO. https://doi.org/10.1115/AJTEC2011-44088.

Zhou, F., Liu, Y. & Dede, EM Diseño, fabricación y evaluación del rendimiento de una cámara de vapor de mecha híbrida. J. Transferencia de calor. 141(8), 081802 (2019).

Artículo CAS Google Scholar

Pan, M. & Hu, M. Simulación numérica de múltiples disipadores de calor de microcanales para la gestión térmica en una batería de iones de litio. Química. Ing. Tecnología. 43(12), 2501–2513 (2020).

Artículo CAS Google Scholar

Yao, Z., Lu, YW y Kandlikar, SG Mejora de la transferencia de calor en ebullición de piscinas a través de nanoestructuras en microcanales de silicio. J. Nanotecnología. Ing. Medicina. 3(3), 031002 (2013).

Artículo CAS Google Scholar

Waits, CM, Modafe, A. & Ghodssi, R. Investigación de tecnología en escala de grises para estructuras MEMS de silicio 3D de gran área. J. Micromecánico. Microing. 13, 170-177 (2003).

Artículo ADS CAS Google Scholar

Waits, CM, Morgan, B., Kastantin, M. & Ghodssi, R. Microfabricación de estructuras MEMS de silicio 3D mediante litografía en escala de grises y grabado profundo de iones reactivos. Sens. Actuadores A 119, 245–253 (2005).

Artículo CAS Google Scholar

Morgan, B., Waits, CM, Krizmanic, J. y Ghodssi, R. Desarrollo de una lente de Fresnel de fase profunda de silicio mediante litografía en escala de grises y grabado profundo de iones reactivos. J. Microelectromecánica. Sistema. 13(1), 113–120 (2004).

Artículo CAS Google Scholar

Khazi, I., Muthiah, U. & Mescheder, U. Formas libres 3D en c-Si mediante litografía en escala de grises y RIE. Microelectrón. Ing. 193, 34–40 (2018).

Artículo CAS Google Scholar

Dixon, J., Solomon, M. Litografía en escala de grises para estructuras nanofotónicas quirales. Informe de investigación. ENGR241, SNF, Universidad de Stanford. (2018). https://snfexfab.stanford.edu/sites/g/files/sbiybj8726/f/sections/diplayfiles/finalreport2_jd_ms.pdf.

Zhou, F., Joshi, SN, Liu, Y. & Dede, EM Refrigeración cercana a la unión para electrónica de potencia de próxima generación. En t. Comunitario. Transf. masa calor. 108(2019), 104300 (2019).

Artículo de Google Scholar

Kermani, E., Dessiatoun, S., Shooshtari, A., Ohadi, MM Investigación experimental del rendimiento de la transferencia de calor de un disipador de calor de microcanal múltiple para enfriar células solares concentradas. En Conferencia sobre tecnología y componentes electrónicos, San Diego, EE. UU., 453–459 (2009).

Jung, KW y cols. Refrigeración integrada con colector 3D para aplicaciones de electrónica de potencia de vehículos: rendimiento de fluido térmico monofásico. En t. J. Transferencia de masa de calor. 130, 1108-1119 (2019).

Artículo CAS Google Scholar

Bae, DG, Mandel, RK, Dessiatoun, SV, Rajgopal, S., Roberts, SP, Mehregany, M., Ohadi, MM Enfriamiento integrado de dos fases de componentes electrónicos de alto flujo de calor sobre carburo de silicio (SiC) mediante evaporación de película delgada y un enfriador de microcanal múltiple con sistema de entrega mejorado (FEEDS). En IEEE ITHERM, 29 de mayo a 1 de junio de 2017, 466–472 (2017).

Hazra, S., Piazza, A., Jung, KW, Asheghi, M., Gupta, MP, Jih, E., Degner, M., Goodson, KE Desafíos de microfabricación para áreas grandes basadas en silicio (≥ 500 mm2) 3D -Dispositivos de microenfriador integrados en colector para eliminación de altos flujos de calor. En la 19ª Conferencia IEEE ITHERM (2020).

Yadavali, S., Lee, D. & Issadore, D. Microfabricación robusta de microfluidos tridimensionales altamente paralelizados sobre silicio. Ciencia. Rep. 9, 12213 (2019).

Artículo ADS PubMed PubMed Central CAS Google Scholar

Zhu, Y. et al. La estructura de la superficie mejoró la ebullición del flujo de microcanales. J. Transferencia de calor. 138(9), 091501 (2016).

Artículo CAS Google Scholar

Wu, J., Yadavali, S., Lee, D. & Issadore, DA Ampliación del rendimiento de la síntesis de materiales basados ​​en gotas de microfluidos: una revisión de los avances y perspectivas recientes. Aplica. Física. Rev.8, 031304. https://doi.org/10.1063/5.0049897 (2021).

Artículo ADS CAS PubMed Google Scholar

Scott, SM y Ali, Z. Métodos de fabricación para dispositivos de microfluidos: descripción general. Micromáquinas 12, 319. https://doi.org/10.3390/mi12030319 (2021).

Artículo PubMed PubMed Central Google Scholar

Duong, LH & Chen, PC Producción sencilla y de bajo coste de dispositivos híbridos de microfluidos impresos en 3D. Biomicrofluídica 13(2), 024108. https://doi.org/10.1063/1.5092529.PMID:31065307;PMCID:PMC6478590 (2019).

Artículo PubMed PubMed Central Google Scholar

Parks, JW y cols. Integración optofluídica híbrida. Chip de laboratorio 13(20), 4118–4123. https://doi.org/10.1039/c3lc50818h (2013).

Artículo CAS PubMed Google Scholar

Shin, SW, Yuk, JS & Chun, SH Material híbrido de ADN estructural con compuesto inorgánico: síntesis, aplicaciones y perspectiva. Nanoconverg. 7, 2. https://doi.org/10.1186/s40580-019-0211-4 (2020).

Artículo CAS PubMed PubMed Central Google Scholar

Su, R. y col. Estructuras elastoméricas autoportantes impresas en 3D para microfluidos multifuncionales. Ciencia. Adv. 6, eabc9846. https://doi.org/10.1126/sciadv.abc9846 (2020).

Artículo ADS CAS PubMed PubMed Central Google Scholar

Kang, Y., Wu, T., Han, X., Gu, H. & Zhang, X. Un sustrato de dispersión Raman mejorado con superficie reutilizable similar a una aguja y su aplicación a la determinación de acetamiprid mediante la combinación de SERS y diluyente. cromatografía en capa. Microchicm. Acta 185, 504 (2018).

Artículo CAS Google Scholar

Largo, J. et al. Fabricación de bajo costo de micro y nanoestructuras multiescala similares al brócoli de gran superficie para superficies metálicas superhidrófobas con adherencia al agua ultrabaja y capacidad anticongelante superior. Adv. Madre. Interfaces 5, 1800353 (2018).

Artículo CAS Google Scholar

Hazra, S., Liu, T., Asheghi, M., Goodson, K. Rugosidad tratada con láser para aumentar las tasas de absorción en la microestructura pin-fin. En ASME 2020 Conferencia técnica internacional sobre embalaje electrónico y exposición sobre embalaje e integración de productos electrónicos (2020).

Bigham, S., Fazeli, A. y Moghaddam, S. Física de microestructuras, mejora de la transferencia de calor por evaporación de película delgada en microcanales de flujo de ebullición. Ciencia. Rep. 7, 44745 (2017).

Artículo ADS PubMed PubMed Central Google Scholar

Descargar referencias

Esta investigación fue apoyada por fondos de la oportunidad de financiamiento OPEN 2018 de la Agencia de Proyectos de Investigación Avanzada-Energía (ARPA-E) del Departamento de Energía (Subvención no. DE-AR0001055). Varias partes del trabajo se llevaron a cabo en SNF (Stanford Nanofabrication Facility) y SNSF (Stanford Nano-Shared Facility). Este trabajo fue escrito en parte por Alliance for Sustainable Energy, LLC, administrador y operador del Laboratorio Nacional de Energía Renovable para el Departamento de Energía de EE. UU. (DOE) bajo el contrato número DE-AC36-08GO28308. Las opiniones expresadas en el artículo no representan necesariamente las opiniones del DOE o del gobierno de los EE. UU. El gobierno de los EE. UU. conserva y el editor, al aceptar el artículo para su publicación, reconoce que el gobierno de los EE. UU. conserva una licencia mundial no exclusiva, pagada e irrevocable para publicar o reproducir la forma publicada de este trabajo, o permitir que otros lo hagan. para fines del gobierno de EE. UU.

Departamento de Ingeniería Mecánica, Universidad de Stanford, Stanford, EE.UU.

Sougata Hazra, Chi Zhang, Qianying Wu, Mehdi Asheghi y Kenneth Goodson

Departamento de Investigación Electrónica, Instituto de Investigación Toyota de Norteamérica, Ann Arbor, MI, EE.UU.

Ercan M. Dede

Departamento de Ingeniería Mecánica, Universidad de California-Merced, Merced, CA, EE. UU.

James Palko

Laboratorio Nacional de Energía Renovable, Golden, CO, EE. UU.

Sreekant Narumanchi

También puedes buscar este autor en PubMed Google Scholar.

También puedes buscar este autor en PubMed Google Scholar.

También puedes buscar este autor en PubMed Google Scholar.

También puedes buscar este autor en PubMed Google Scholar.

También puedes buscar este autor en PubMed Google Scholar.

También puedes buscar este autor en PubMed Google Scholar.

También puedes buscar este autor en PubMed Google Scholar.

También puedes buscar este autor en PubMed Google Scholar.

SH fue responsable de identificar la brecha en el conocimiento actual, conceptualizar el flujo del proceso, realizar el trabajo experimental y validar los resultados. Además, preparó el manuscrito y las figuras. CZ proporcionó parte de los datos de caracterización. QW, MA, KG, ED, JP y SN brindaron orientación durante el trabajo y brindaron comentarios durante la preparación del manuscrito.

Correspondencia a Sougata Hazra.

Los autores declaran no tener conflictos de intereses.

Springer Nature se mantiene neutral con respecto a reclamos jurisdiccionales en mapas publicados y afiliaciones institucionales.

Acceso Abierto Este artículo está bajo una Licencia Internacional Creative Commons Attribution 4.0, que permite el uso, compartir, adaptación, distribución y reproducción en cualquier medio o formato, siempre y cuando se dé el crédito apropiado al autor(es) original(es) y a la fuente. proporcione un enlace a la licencia Creative Commons e indique si se realizaron cambios. Las imágenes u otro material de terceros en este artículo están incluidos en la licencia Creative Commons del artículo, a menos que se indique lo contrario en una línea de crédito al material. Si el material no está incluido en la licencia Creative Commons del artículo y su uso previsto no está permitido por la normativa legal o excede el uso permitido, deberá obtener permiso directamente del titular de los derechos de autor. Para ver una copia de esta licencia, visite http://creativecommons.org/licenses/by/4.0/.

Reimpresiones y permisos

Hazra, S., Zhang, C., Wu, Q. et al. Un novedoso método de transferencia de patrones de máscara dura a sustrato para crear estructuras 3D, multinivel, jerárquicas y de alta relación de aspecto para aplicaciones en microfluídica y tecnologías de enfriamiento. Representante científico 12, 12180 (2022). https://doi.org/10.1038/s41598-022-16281-5

Descargar cita

Recibido: 17 de marzo de 2022

Aceptado: 07 de julio de 2022

Publicado: 16 de julio de 2022

DOI: https://doi.org/10.1038/s41598-022-16281-5

Cualquier persona con la que compartas el siguiente enlace podrá leer este contenido:

Lo sentimos, actualmente no hay un enlace para compartir disponible para este artículo.

Proporcionado por la iniciativa de intercambio de contenidos Springer Nature SharedIt

Al enviar un comentario, acepta cumplir con nuestros Términos y pautas de la comunidad. Si encuentra algo abusivo o que no cumple con nuestros términos o pautas, márquelo como inapropiado.